Jump to content

old style UVM10EA and OVM environments probably need +UVM_USE_OVM_RUN_SEMANTIC


Recommended Posts

hi,

just to let you know that if you have UVM10EA environments or OVM envs converted via the ovm2uvm.pl to UVM(10EA) you most likely require to add +UVM_USE_OVM_RUN_SEMANTIC to your cmdline switches. otherwise the simulation completes in zero time.

Link to comment
Share on other sites

I used the ovm2uvm.pl to convert my OVM to UVM ... I was using global_stop_request() in my test run_phase. Now, I need to use the following objection code :

virtual task run_phase(uvm_phase phase);

phase.raise_objection(this,"Start run phase");

....

....

phase.drop_objection(this,"Start run phase");

// global_stop_request();

endtask : run_phase

I didn't need to use +UVM_USE_OVM_RUN_SEMANTIC

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...