Jump to content

Problem with backdoor access to UVM_MEM


Recommended Posts

Hi,

 

I'm trying to perform backdoor access to uvm_mem.

 

For some reason, the Xcheck_accessX function in uvm_mem, override my map with pseudo map.

 

From this function:

        rw.map = uvm_reg_map::backdoor();

From uvm_reg_map:

   // Function: backdoor
   // Return the backdoor pseudo-map singleton
   //
   // This pseudo-map is used to specify or configure the backdoor
   // instead of a real address map.
   //
 
 
I need an important data from my map (the uvm_mem base address).
why to override it?
 
How can I overcome this?
 
 
Thanks,
Dror
Link to comment
Share on other sites

  • 2 weeks later...

Does anyone have an answer to this question about use of the backdoor.  

 

I encountered a related problem to this map override issue described in this post.

 

http://forums.accellera.org/topic/2104-question-about-backdoor-map/

 

I can't see any way around it and any way to not get the warning from the register model that I described for any back door write.

 

Thanks.

 

Walker

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...