Jump to content

uvm_hdl_force error


Recommended Posts

Hi,

 

While doing force using "uvm_hdl_force", i am getting the below error and the specified memory location is not written with that value.

But it works using "force". Any solution to this.

ERROR:          VPI       NOFORCO
         vpi_put_value() cannot force object of type:  vpiReg.
 

 

Thanks

QW

Link to comment
Share on other sites

  • 2 weeks later...

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...