Jump to content

primitive channel vs hierachical channel


carter

Recommended Posts

Hi

As i know, there are primitive channel and hierachical channel in systemC.

But i'm not sure about should i know both of things?

If you can, could you please let me know what thing is used for normally in company.

Also second question is that can be possible use sc_signal and sc_fifo and sc_buffer in one module?

If yes, what am i caution for using that in one module?

Thanks

Link to comment
Share on other sites

A hierachical channel is essentially a module that implements an interface. It is very flexible, and so is used to make complex bus models, for instance.

 

A primitive channel has close access to the scheduler delta cycle; it it typically used only with the built-in channels (sc_signal and so on) for low level (hardware like) modelling.

 

You can use sc_signal, sc_fifo, and sc_buffer all in one module,

 

regards

Alan

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...