Jump to content

UVM connect 2.2 kit on vcs. SC and SV can't work together?


Recommended Posts

Hi,

 

I download UVM connect 2.2 kit from this website and try to use it connect SC and SV.

 

When I run the examples under directory "connections", sv2sv_native and sc2sc_native are OK. But for sv2sc, sc2sv, sv2sv_uvmc, compiling doesn't give error information. However, it looks like only SC module is running, but SV module didn't not run.

 

[localhost connections]$ make sv2sc -f Makefile.vcs
make -f Makefile.vcs clean comp run EXAMPLE=sv2sc
make[1]: Entering directory `/home/fpga/uvmc/uvmc-2.2/examples/connections'
rm -rf simv* work csrc ucli.key vc_hdrs.h vcs.log AN* *.log *.vpd DVE*
syscan -cpp g++-4.2.2 -cc gcc-4.2.2 -cflags -g -cflags -I. -tlm2 -cflags -I/usr/synopsys/E-2011.03/etc/systemc/tlm/include/tlm/tlm_utils -cflags -I/home/fpga/uvmc/uvmc-2.2//src/connect/sc /home/fpga/uvmc/uvmc-2.2//src/connect/sc/uvmc.cpp -cflags -Icommon sv2sc.cpp
vlogan -q -sverilog +incdir+/home/fpga/uvmlib/uvm-1.1b//src /home/fpga/uvmlib/uvm-1.1b//src/uvm_pkg.sv +incdir+/home/fpga/uvmc/uvmc-2.2//src/connect/sv /home/fpga/uvmc/uvmc-2.2//src/connect/sv/uvmc_pkg.sv -timescale=1ns/1ps  +incdir+common sv2sc.sv +define+UVM_OBJECT_MUST_HAVE_CONSTRUCTOR

Note-[sV-LCM-PPWI] Package previously wildcard imported
common/producer.sv, 53
$unit
  Package 'uvm_pkg' already wildcard imported.
  Ignoring uvm_pkg::*
  See the System Verilog LRM(1800-2005), section 18.1.

vcs -q -sysc=220 -lca -sysc -cpp g++-4.2.2 -cc gcc-4.2.2 -timescale=1ns/1ps -CFLAGS -DVCS /home/fpga/uvmlib/uvm-1.1b//src/dpi/uvm_dpi.cc sv_main sc_main

Warning-[sC-SYSCAN-IDIR] Ignoring nonexistent include dir
  Ignoring nonexistent include dir 'csrc/sysc/include'.
  Make sure the directory specified with the '-I <dir>' option is available
  and readable.


Warning-[LCA_FEATURES_ENABLED] Usage warning
  LCA features enabled by '-lca' argument on the command line.  For more
  information regarding list of LCA features please refer to Chapter "LCA
  features" in the VCS/VCS-MX Release Notes

Doing common elaboration
.5 modules and 0 UDP read.
make[2]: Entering directory `/home/fpga/uvmc/uvmc-2.2/examples/connections/csrc'
gcc-4.2.2  -w  -pipe -DVCS -O -I/usr/synopsys/E-2011.03/include     -c -o hlem_1_0x2aB.o hlem_1_0x2aB.c
gcc-4.2.2  -w  -pipe -DVCS -O -I/usr/synopsys/E-2011.03/include     -c -o taL1_1_0x2aB.o taL1_1_0x2aB.c
gmake[3]: Nothing to be done for `module-uvmc'.
gmake[3]: Nothing to be done for `module-sv2sc'.

Warning-[sC-SYSCAN-IDIR] Ignoring nonexistent include dir
  Ignoring nonexistent include dir 'csrc/sysc/include'.
  Make sure the directory specified with the '-I <dir>' option is available
  and readable.

gmake[3]: Nothing to be done for `module-uvm_dpi'.
../simv up to date
make[2]: Leaving directory `/home/fpga/uvmc/uvmc-2.2/examples/connections/csrc'
./simv -q   +UVM_NO_RELNOTES 2>&1 |tee sv2sc_run.log
Connecting an SC-side proxy port for 'cons.in' with lookup string 'foo' for later connection with SV

Info: (I804) /IEEE_Std_1666/deprecated: sc_start(double) deprecated, use sc_start(sc_time) or sc_start()
----------------------------------------------------------------
UVM-1.1b
© 2007-2012 Mentor Graphics Corporation
© 2007-2012 Cadence Design Systems, Inc.
© 2006-2012 Synopsys, Inc.
© 2011-2012 Cypress Semiconductor Corp.
----------------------------------------------------------------

Info: (I804) /IEEE_Std_1666/deprecated: You can turn off warnings about
             IEEE 1666 deprecated features by placing this method call as the
             first statement in your sc_main() function:

  sc_report_handler::set_actions("/IEEE_Std_1666/deprecated", SC_DO_NOTHING);


make[1]: Leaving directory `/home/fpga/uvmc/uvmc-2.2/examples/connections'


+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
[localhost connections]$ ./simv
Connecting an SC-side proxy port for 'cons.in' with lookup string 'foo' for later connection with SV

Info: (I804) /IEEE_Std_1666/deprecated: sc_start(double) deprecated, use sc_start(sc_time) or sc_start()
Chronologic VCS simulator copyright 1991-2011
Contains Synopsys proprietary information.
Compiler version E-2011.03; Runtime version E-2011.03;  Oct 24 19:57 2013
----------------------------------------------------------------
UVM-1.1b
© 2007-2012 Mentor Graphics Corporation
© 2007-2012 Cadence Design Systems, Inc.
© 2006-2012 Synopsys, Inc.
© 2011-2012 Cypress Semiconductor Corp.
----------------------------------------------------------------

  ***********       IMPORTANT RELEASE NOTES         ************

  You are using a version of the UVM library that has been compiled
  with `UVM_NO_DEPRECATED undefined.
  See http://www.eda.org/svdb/view.php?id=3313 for more details.

      (Specify +UVM_NO_RELNOTES to turn off this notice)


Info: (I804) /IEEE_Std_1666/deprecated: You can turn off warnings about
             IEEE 1666 deprecated features by placing this method call as the
             first statement in your sc_main() function:

  sc_report_handler::set_actions("/IEEE_Std_1666/deprecated", SC_DO_NOTHING);


           V C S   S i m u l a t i o n   R e p o r t
Time: 0 ps
CPU Time:      0.580 seconds;       Data structure size:   0.3Mb
Thu Oct 24 19:57:45 2013

 

 

Link to comment
Share on other sites

  • 1 month later...

Hi,

 

I downloaded UVM connect kit 2.2 from this website and also using synopsys vcs with gcc 4.2.2.

When I compile a SystemC program using g++, it works.

However, I couldn't compile the sc2sv example, it produces an error.
The error is a SystemC elaboration error.

 

Do you have any idea why it can be a SystemC elaboration error?

Because the sc2sv is a given example included on the UVM connect kit 2.2.

 

Thanks

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...