Jump to content

Starting multiple sequence in one phase


Recommended Posts

Hi,

 

Is it possible to configure multiple sequences in one phase for one sequencer? Like i have multiple sequencers in my env. 

In one of the sequencer main pahse i am registering default sequnce as seq1. If in same test for same main phase if I register seq 2 as default sequnce what will happen?

 

- seq2 will be overridden by seq1 for main phase for that sequencer

or - it will add seq 2 in sequencer main phase queue to execute after seq1?

 

Thanks in advance for your time.

 

Thanks,

Akshay

Link to comment
Share on other sites

you can only set one default_sequence per phase. the result of a consecutive uvm_config_db::set is determined by the semantic of the uvm_config_db. but there there is no feature that multiple sets on the same field result in accumulated values.

 

but whats not in the library you can do yourself easily with code like the one below. it takes plus args +SEQNAME and starts these sequences serially...

 

/uwe

 

 

97             begin
98                 uvm_cmdline_processor cmd = uvm_cmdline_processor::get_inst();
99                 string seqnames[$];
100                 uvm_factory f = uvm_factory::get();
101
102
103                 if (cmd.get_arg_values("+SEQNAME=", seqnames) == 0) begin
104                     `uvm_error("TEST/NOSEQS", "No +SEQNAME=...")
105                 end
106                 foreach (seqnames[i]) begin
107                     uvm_sequence_base seq;
108                     if (!$cast(seq, f.create_object_by_name(seqnames[i]))) begin
109                         `uvm_error("TEST/NOTASEQ", {"Type ", seqnames[i], " is not a sequence type"})
110                         continue;
111                     end
112                     void'(seq.randomize());
113                     seq.start(sqr);
114
115                 end
 

 

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...