Jump to content

UVM Registers common functions


Recommended Posts

Hi,

I was trying to do some register testing , so following issues came up.

Just wanted to know if UVM provide direct functions to check the following??

 

1) Starting and End Address of the reg model ?

2) Whether a register exists on a particular address? 

 

Please let me know these.

 

Thanks and Regards,

GG

Link to comment
Share on other sites

  • 2 weeks later...

Hi,

 

For (1), there is no direct function to get the start and end address of the model (from a given address map) so you would have to write your own. You can use uvm_reg_map::get_registers() to return a list of register handles. You can then get hold of the offset of each register with uvm_reg::get_offset() and from this the lowest / highest addresses in the map.

 

For (2), you can use uvm_reg_map::get_reg_by_offset(). If the register does not exist at the address, the function returns null.

 

Regards,

Steve

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...