Jump to content

Help in run phase() of monitor class in uvm?


Recommended Posts

Hello all,

I m working on uvm. In monitor class, I am getting packets serially from the DUT and then I need to convert these serial bits parallely into bytes/groups of 10bits so that it can be an input to the 8b10b decoder. The outpur of decoder will be 8 bits bytes, from which I need to reform my packets to be compared with the input packets,sent to the DUT from driver. I am confused how to tackle these tasks. I am hoping that 8b10b decoder and serial in parallel out(sipo) to use as virtual tasks which can be called during the run phase() of monitor, right? Please correct me anyone, if I am wrong?

Also how to pass the output of sipo, the 10 bits, to decoder? Can the output of one task can be given to input of another/next task, just like that, i mean with no real problem? Or how else I should put these two tasks properly in driver class? Any help is appreciated.

Thanks,

Swapnil

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...