Jump to content

Help in run phase() of driver class in uvm?


Recommended Posts

Hello all,

I m working on uvm. In driver class, I am getting packets and then I need to convert each byte of each packet in 10 bits by using 8b10b encoder. These 10bits are then need to be converted from parallel to serial bacause the DUT takes input serially. I am confused how to tackle these tasks. I am hoping that 8b10b encoder and parallel in serial out(piso) to use as virtual tasks which can be called during the run phase() of driver, right? Please correct me anyone, if I am wrong?

Also how to pass the output of 8b10b encoder, the 10 bits, to PISO? Can the output of one task can be given to input of another/next task, just like that, i mean with no real problem? Or how else I should put these two tasks properly in driver class? Any help is appreciated.

Thanks,

Swapnil

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...