Jump to content

UVM_REG backdoor access


Recommended Posts

Hi ,

I am trying to implement register backdoor access with user defined register backdoor by extending uvm_reg_backdoor.

class peri_reg_backdoor extends uvm_reg_backdoor

virtual task write(uvm_reg_item rw);

$root.top.DUT.reg = 8'h41;

endtask

endclass

when i am compile this code it is showing error

illegal location for ahierarchical name (in a package).

but in examples i am finding that WRITE method is user define and by giving the hierarchy path of register we can directly configure our registers.I want to know what is the overcome for this issue in IUS.

Thanks in advance

sagar

Link to comment
Share on other sites

  • 2 weeks later...

Hi sword_hs.

I am trying to get it resolved,but currently my front door access is working and I am focusing on that.

so once i will get to know to resolve backdoor issue,that i will keep in this thread.

mean while if you are finding any ways to come up from this error Please let us know.

Thanks

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...