Jump to content

Sequence Libraries / uvm_sequence_library


Recommended Posts

Hi, All -

In Adam Erickson's paper on "Are OVM & UVM Macros Evil? …" in section 2.5, Adam warned about `ovm_sequence macros (`ovm_sequence_utils, `ovm_sequencer_utils, `ovm_sequence_lib[_and_item], etc.)

In the same section, Adam warned about sequence libraries, default sequence selection and random sequence selection.

One issue was that the above macros tied a sequence to particular sequencer.

Another issue was the random generation of sequences from a library and the debugging of a design that was stimulated with randomly generated sequences.

Adam noted that the Accellera VIP deprecated the above macros and was looking to implement a replacement with none of the limitations and a number of new features. Is the uvm_sequence_library found in UVM version 1.1c (and perhaps earlier) that replacement? It still may have the same random generation debug issues as before but I was wondering what the different vendors recommend (use it - don't use it) and what some of the expert verification consultants and big-project users think of this capability.

User and vendor feedback encouraged.

Regards - Cliff Cummings

www.sunburst-design.com

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...