Jump to content

How to develop scoreboard...???


Recommended Posts

Hi,

Can anybody please explain about scoreboard concept in this below case...

I am dealing with development of AXI master environment which will interact with costumer slave DUT.(single master => single slave)

So when i do write transaction how would i make sure that slave is writing to the correct location or not ???

Is that again i need to do read transaction for same address with same control information??

or

Is their any availability to poke the slave memory directly???

Is their any facility like back door access in slave memory in UVM ???

How could i implement scoreboard here????

Link to comment
Share on other sites

Paradigm Work's offers a free scoreboard frame work located at:

http://www.design-reuse.com/news/exit/?id=27392&url=http://www.uvmworld.org/contributions-details.php?id=100&keywords=PW_UVM_Scoreboard_Version_1.0

In addition, it sounds like you need to shadow memory on top of the scoreboard. Usually, I use SV associative arrays to implement a simple shadow model. Additionally, with UVM 1.x there appears to be a uvm memory model with peek and poke capabilities. See section 5 in the UVM User Guide for details.

Hope this helps.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...