Jump to content

'run' phase is ready to proceed to the 'extract' phase


diju.ms

Recommended Posts

I am trying to run a couple of test cases using script.But I am getting an error message after running the first test case.This stops the simulation.I am attaching the LOG with this mail.I don't understand why this is happening.


I checked previous posts and added +UVM_OBJECTION_TRACE in the vsim command.But I am not able to find out the cause of the error.Can anybody help me??



# UVM_INFO @ 0: run [OBJTN_TRC] Object uvm_test_top raised 1 objection(s): count=1 total=1
# UVM_INFO @ 0: run [OBJTN_TRC] Object uvm_top added 1 objection(s) to its total (raised from source object uvm_test_top): count=0 total=1
# AT TIME=0,APB MASTER IN RESET MODE
# AT TIME=1200000,APB MASTER IN ACTIVE MODE
# AT TIME=45400000,*************************** EXPECTED_DATA=1792474624,RECEIVED DATA=1792474624
# AT TIME=186600000,*************************** EXPECTED_DATA=222,RECEIVED DATA=222
# AT TIME=231800000,*************************** EXPECTED_DATA=1504340502,RECEIVED DATA=1504340502
# AT TIME=349000000,*************************** EXPECTED_DATA=2367782205,RECEIVED DATA=2367782205
# UVM_INFO Test_Cases/my_test.sv(40) @ 349000000: uvm_test_top [root objections]
# The total objection count is 1
# ---------------------------------------------------------
# Source Total
# Count Count Object
# ---------------------------------------------------------
# 0 1 uvm_top
# 1 1 uvm_test_top
# ---------------------------------------------------------
#
# UVM_INFO Test_Cases/my_test.sv(43) @ 349000000: uvm_test_top [my_component objections]
# The total objection count is 1
# ---------------------------------------------------------
# Source Total
# Count Count Object
# ---------------------------------------------------------
# 1 1 uvm_test_top
# ---------------------------------------------------------
#
# UVM_INFO @ 349000000: run [OBJTN_TRC] Object uvm_test_top dropped 1 objection(s): count=0 total=0
# UVM_INFO @ 349000000: run [OBJTN_TRC] Object uvm_test_top all_dropped 1 objection(s): count=0 total=0
# UVM_INFO @ 349000000: run [OBJTN_TRC] Object uvm_top subtracted 1 objection(s) from its total (dropped from source object uvm_test_top): count=0 total=0
# UVM_INFO @ 349000000: run [OBJTN_TRC] Object uvm_top subtracted 1 objection(s) from its total (all_dropped from source object uvm_test_top): count=0 total=0
# UVM_INFO verilog_src/uvm-1.1d/src/base/uvm_objection.svh(1268) @ 349000000: reporter [TEST_DONE] 'run' phase is ready to proceed to the 'extract' phase
#
# --- UVM Report Summary ---
#
# ** Report counts by severity
# UVM_INFO : 12
# UVM_WARNING : 0
# UVM_ERROR : 0
# UVM_FATAL : 0
# ** Report counts by id
# [OBJTN_TRC] 6
# [Questa UVM] 2
# [RNTST] 1
# [TEST_DONE] 1
# [my_component objections] 1
# [root objections] 1
# ** Note: $finish : C:/questasim_10.2c/win32/../verilog_src/uvm-1.1d/src/base/uvm_root.svh(430)
# Time: 349 us Iteration: 68 Instance: /my_top
# 1
# Break in Task uvm_pkg/uvm_root::run_test at C:/questasim_10.2c/win32/../verilog_src/uvm-1.1d/src/base/uvm_root.svh line 430
# Simulation Breakpoint: 1
# Break in Task uvm_pkg/uvm_root::run_test at C:/questasim_10.2c/win32/../verilog_src/uvm-1.1d/src/base/uvm_root.svh line 430
# MACRO ./run_do PAUSED at line 18

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...