Jump to content

uvm_reg_field::predict


Recommended Posts

Hello,

I am using a register on a blocking BUS: Until the value in the register is valid, the BUS blocks the whole system on a read access. When I monitor the event that is going to trigger an update of the register accessed and the completion of the register read access BUS transaction, I want to update the content of the mirror register with the correct value. However, uvm_reg_field::predict can´t be used when a register transaction is going on a register.

How can I overcome this issue, is there a special switch I could use to force the prediction to be accepted even when the register is beeing read?

Thanks in advance.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...