Jump to content

uvm_tlm_analysis_fifo


BR

Recommended Posts

The analysis FIFO is an unbounded implementation of TLM FIFOs (tlm_fifo being the base class) does provide different APIs to look into the FIFO, incl. try_peek.

Just to be sure I know what has been done, pl. provide the code snippet of the definition of the TLM interfaces and connection to the FIFO. Also have you created the FIFO in the build phase and the associated TLM interfaces.

For example.

class a extends uvm_scoreboard;

ovm_analysis_export #(item) a_exp;

ovm_tlm_analysis_fifo #(item) a_fifo;

function voild build();

super.build();

a_exp = new ("a_exp", this);

a_fifo = new("a_fifo",this);

endfunction

function void connect();

a_exp.connect(a_fifo.analysis_export);

endfunction

task run();

item l_item;

a_fifo.try_peek(l_item);

endtask

Now the default implementation of the write API function is try_put into the FIFO, which off course has to be implemented on the put side by user defined write API.

Good luck.

Edited by dvenki
Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...