Jump to content

How to connect two interfaces.


qwerty

Recommended Posts

Hi, i have two interfaces, one of which is defined in the TB and other is in DUT.

Both have same signals. I need to connect them at my top level.

Currently i am connecting it like below.

top.sv

module top

cde vif2()  //tb interface

DUT dut ( .vif1(vif2)   );
endmodule


module DUT(abc vif1); //abc is the DUT interface
endmodule
Should it be connected using named mapping or its the correct way to do as above.

How to check whether they are connected.

Edited by qwerty
Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...