Jump to content

transaction recording view in GUI for UVM


omahesh

Recommended Posts

transaction recording view in GUI for UVM

Hi,

I've the following code in my sequence_item;

function void do_record(uvm_recorder recorder);

`uvm_record_attribute(recorder.tr_handle,"data",data)

`uvm_record_attribute(recorder.tr_handle,"addr",addr)

endfunction

After compiling,i've found tr_db.log file is generated in cadence. But i dont know how to see it in gui.

can anyone tell me in detail about the transaction recording?

regards,

mahee

Link to comment
Share on other sites

Make sure you are using the built-in version of the UVM library included with the simulator in order to take advantage of recording transactions in the simvision shm database.

I have tried with the built-in version of the UVM lib and the tool created a recording_detail info in the GUI Waveform Window but i can't able to see the recorded values of transaction.

Can anyone help me in this regard?

Regards,

Mahee

Link to comment
Share on other sites

Make sure you also enable recording_detail:

uvm_config_db#(int)::set(this, "*", "recording_detail", UVM_FULL);

The transactions appear is a separate top-level hierarchy mirroring the UVM component hierarchy. (Make sure you haven't filtered them).

You can also contact support.cadence.com, if you need specific help with the tool.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...