Jump to content

retriving config in testbench


Recommended Posts

Hi

I am setting config using uvm_config_db in test which decides master is active or passive.

I want to retrieve this information in my testbench to make interface and dut connection according to is_active inside config.

I am not sure how to retrieve config in testbech which is module.

can someone give an example ?

Verifier

Link to comment
Share on other sites

Instead of using the database for each config parameter of your agent, it might be better to have a config object for the entire agent. Then, you have a virtual method that actually configures the agent (initializes all the config parameters in the agent cfg object). Then your test can simply extend that virtual method and reconfigure it as required.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...