Jump to content

uvm callbacks vs uvm set_type_override


Recommended Posts

Hi everyone,

I am doing conversion from VMM to UVM methodology. In our old VMM bench, we use a lot of callbacks. However in UVM, we can use both callback and set_type_override which can generate same functionality.

How can I determine which one is suitable for my bench conversion between UVM callback and UVM set_type_override?

Thanks,

Budi

Link to comment
Share on other sites

Depends.

The factory override is static: it will be present for the entire duration of the simulation. It is suitable for things you want to have permanently for the entire test. Also, because SV does not support multiple inheritance, different extensions cannot be arbitrarily combined.

Callbacks are dynamic and will only be present after being registered and can be subsequently removed and/or turned on/off. They are suitable for short-lived extensions you want for only a portion of the run-time (e.g. error injection) and for extensions you want to arbitrarily mix-and-match with others. For example, the report catchers are callbacks.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...