Jump to content

nested interfaces


Recommended Posts

Hi

When I try to access a DUT signal in a uvm monitor via nested interfaces I get the following error message

"Hierarchical reference through an instance not implemented yet"

Am I doing something wrong / This feature will be implemented in future versions / never / is there a work around ?

Link to comment
Share on other sites

Hi,

I think its pretty clear that this is a tool dependent issue. Last time i checked, VCS 2011 didnt support nested interface nor the 2012 version. Questasim supports but functionality is not stable. Cadence, i am not sure, other people can give you more inputs. But since the error indicates "Hierarchical reference through an instance not implemented yet" its not specific to the nested interface and there may be or may not be support from the tools as SV LRM itself quotes

"an interface may contain hierarchical references to objects outside its body or ports that reference other interfaces, it shall be illegal to use an interface containing those references in the declaration of a virtual interface"

Thanks,

Tushar

Edited by tusshar1.1
Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...