Jump to content

Debugging help regarding D-Latch


Recommended Posts

Hi, i can't wrap my head around this problem. The program keeps looping and won't advance to the next step. I'm making a D-Latch using NAND Gates and NOT Gates, a Generator to serve as clock and input source and a Supervisor to display the data. I'm attaching a link to my code with everything there and the schema below:

https://www.edaplayground.com/x/R6k7

 

image.png.19d192df93a0c3a495b4fdee4f845237.png

 

Thank you in advance!

Link to comment
Share on other sites

Hello @MarcelCostel,

What you are trying to achieve here has meta-stable states in the final NAND logic gates.

Can you try you simulation with varying initial states in the generator module for signal D and the clk?

On another note I would not recommend using SystemC for modelling at gate level simulation.

Regards,

Ameya Vikram Singh

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...