Jump to content

Error with first Systemc program


Stefano Di Rollo

Recommended Posts

Hello,

I'm pretty new to SystemC and I'm running some first basic examples.

Here attached you can find the firts of my examples. Everything seems ok during compilation, but it crashes at runtime with the following fatal error

"Fatal: (F4) assertion failed: m_references_n != 0
In file: /usr/local/include/sysc/kernel/sc_process.h:631
Info: (I99) simulation aborted
Aborted (core dumped)"

The main test shoudn't contain errors; one of my colleague executed it correctly after compilation on his Ubuntu Mate 20.04 system.

I have compiled and installed SystemC library 2.3.3 on my Ubuntu 22.04 LTS system which has natively a g++ 11.2 version

This kind of error could be related to the specific version of the g++ compiler by considering that with an older version of g++ everithing is OK?

Thanks in advance for your support

Stefano Di Rollotest.cpptest.cpptest.cpp

Link to comment
Share on other sites

Hi Stefano,

I put your code on eda playground: https://www.edaplayground.com/x/gxc5  and it runs well. So it seems your build settings are wrong or your SystemC installation is faulty. To my knowledge there is no dependency to the version of the GCC compiler. How did you build your SystemC library and how do you build your test?

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...