Jump to content

How to continue a test after getting UVM error


Recommended Posts

Hi everyone,

Problem statement:

For example, there are five IDs and I need to verify all these IDs are working properly or not.

If one ID fails, then I can't check verify the next ID in the same simulation run. In order to test that next ID, I need to run  test again.

I have to run the test five times, to check/ verify all five IDs.

Can anyone provide method to test all IDs in single simulation run (test should not terminated even though any of the  IDs fails).

I have used "UVM_MAX_QUIT_COUNT"  command line option, but it didn't work.

 

Regards,

Prathyusha

Link to comment
Share on other sites

  • 1 year later...

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...