Jump to content

Extending uvm_seq_item


Recommended Posts

I have uvm_seq_items as below.

class a_seq_item extends uvm_sequence_item;
  bit x;
  bit y;
  bit z;
   .............
endclass
 
class b_seq_item extends a_seq_item;
 bit p;
 bit q;
 bit r;
.......
endclass
 
I want to use b_seq_item (p,q,r vars) everywhere in my env , but p , q & r should be equal to x,y & z.
How can i assign p = x , q = y & r = z?
 
sequencer is using a_seq_item.
Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...