Jump to content

Why do uvm_reg::read() and uvm_reg::mirror compare against m_desired?


Recommended Posts

uvm_reg::read() and uvm_reg::mirror() can compare the value read from the register with the expected value. Apparently this is used with implicit prediction.

However, they both use uvm_reg_field::get() for the expected value, which gets m_desired, not m_mirrored. I would expect the comparison to be made against m_mirrored.

uvm_reg_predictor uses uvm_reg_field::get_mirrored_value() for the expected value when it does a comparison after read.

Is there a reason for the difference?

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...