Jump to content

Need for m_check_relationship function in connect() method of uvm_port_base


Recommended Posts

Hi all , 

The m_check_relationship function checks the following :: 

That the connection is between ports that are hierarchically adjacent (up or down one level max, or are siblings),
 and check for legal direction, requirer.connect(provider) . 

In case of a violation we return 0 ( with a warning ) else we return 1 .  But we always use a void cast while calling m_check_relationship function .

Even if I get a warning I see that the transaction does transfer .

Eg :: For put_port( txn )  called from component will invoke the put_imp connected to the port ( with a warning ) .

I tried it with uvm 1.1d as well as 1.2 , but I still see a warning with the transaction transfer . 

Is the function meant for future versions to give an error ? 

 

 

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...