Jump to content

UVM Register write_reg read_reg


apayal

Recommended Posts

Yes i understand that I dont need to know addess and i can write data with the register name only.

But in our env we get write/read request from the other device.

And in my env i get excet full address for writing the data.

Now based on the address i want to do register write using register sequence.

Now is there any task/fucntion to convert the full address to blk.subblk.reg ?

because for doing read/write i need to give in format

blk.subblk.reg.write(status, 'hDEADBEEF); //

or write_reg(blk.subblk.reg , status, 'hDEADBEEF );

else I will have to manually create an handle blk.subblk.reg from the address i have received.

The register classes abstract the address away so you don't need to know the address. You can simply do blk.subblk.reg.write(status, 'hDEADBEEF);

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...