Jump to content

Sequence running on virtual sequencer


Recommended Posts

Hi Expert,

I have following situation.

1. In our env. we use third party VIP  & few other agents which are active.

2. We created reg model in top level env  as shown below

reg_model = top_reg_block::type_id::create("reg_model", this);
        reg_model.build();
        reg_model.set_hdl_path_root("$root.tb_top.A_chip_top.A_digital_top");
        reg_model.lock_model();
        // reg model
        adapter = reg_adapter::type_id::create("adapter", this);
        reg_sbscr = register_subscriber::type_id::create("reg_sbscr", this);

In connect phase also we set the default sequencer

reg_model.default_map.set_sequencer (.sequencer(spi_agnt.spi_sqr), .adapter(adapter)

3. in test case (register test case) we use

seq.start(null); in order to run on default sequencer.

4. Everything works fine without VIP. Now when VIP is integrated in env, & instead of using spi_agnt.spi_seqr we need to use

VIP virtual seqencer like

  reg_model.default_map.set_sequencer (.sequencer(block_env.sequencer.master_sequencer[0]), .adapter(adapter))

When We use this we get UVM_FATAL error

[SEQ] neither the item's sequencer nor dedicated sequencer has been supplied to start item in seq.

Any idea how I should resolve this issue.

VIP uses p_sequencer whereas other sequencer uses m_sequencer.

 

Regards,

UT

 

 

 

 

 

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...