Jump to content

UVM 1.2 report_summarize()


Andrew

Recommended Posts

HI :

  I am aware in Accellera UVM1.2 uvm_report_server, report_summarize(), there is below uvm_info at end of the function. 

      `uvm_info("UVM/REPORT/SERVER",`UVM_STRING_QUEUE_STREAMING_PACK(q),UVM_LOW)
	     // Andrew  f_display(file, `UVM_STRING_QUEUE_STREAMING_PACK(q));
	

I would like to know the motivation of doing so. It is not backward compatible with UVM-1.1X. 

  1. we have +UVM_VERBOSITY=UVM_NONE for regression run and we do want to see the summary of test.  

  2. we customized  compose_report_message,   based on UVM_1.2. it gave me a funny output : i.e 

 

UVM_INFO :       0 :  [UVM/REPORT/SERVER
--- UVM Report Summary ---

** Report counts by severity
UVM_INFO :    7
UVM_WARNING :    1
UVM_ERROR :    1
UVM_FATAL :    1
** Report counts by id
[RNTST]     1
[UVM/RELNOTES]     1
[mycfg]     1
[myenv]     6
[mytest]     1
 : reporter
 

Thanks
 

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...