Jump to content

How to set a virtual interface of a VIP from SoC tb top


Recommended Posts

Hi,

I have a doubt regarding an interface "X" being defined as :

Driver code of the VIP:
***********************************************
protected virtual X ChipIf;
function void connect phase(uvm_phase phase);

Y vif_container; 
super.connect_phase(phase);

// Assigning virtual interface
assert(uvm_config_object::get(this, "","V_IF_CHIP", temp));
$cast(vif_container, temp);
ChipIf = vif_container.get_v_if();

endfunction : connect_phase

**********************************************************

From the tb_top of the SoC , where the above VIP pkg is imported along with uvm pkg:*,please let me know how can I "set" the virtual ChipIf?

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...