Jump to content

Fail to install SystemC 2.3.1 on OpenSUSE Tumbleweed


donpalavi

Recommended Posts

Hello folks,

I need to install SystemC 2.3.1 for my Computer Science Course in Berlin Germany.

I currently fail to install it on my system: OpenSUSE Tumbleweed 64 Bit.

I am using the latest c++ compiler.

When I try to install:

mkdir objdir

cd objdir

export CXX=g++

../configure

...

...

make

make install

make check ///// I get this:

 /usr/bin/mkdir -p '/home/ahmed/Downloads/systemc-2.3.1a/include/tlm_core/tlm_1/tlm_req_rsp/tlm_adapters'
 /usr/bin/install -c -m 644  ../../../src/tlm_core/tlm_1/tlm_req_rsp/tlm_adapters/tlm_adapters.h '/home/ahmed/Downloads/systemc-2.3.1a/include/tlm_core/tlm_1/tlm_req_rsp/tlm_adapters'
 /usr/bin/mkdir -p '/home/ahmed/Downloads/systemc-2.3.1a/include/tlm_core/tlm_1/tlm_req_rsp/tlm_1_interfaces'
 /usr/bin/install -c -m 644  ../../../src/tlm_core/tlm_1/tlm_req_rsp/tlm_1_interfaces/tlm_core_ifs.h ../../../src/tlm_core/tlm_1/tlm_req_rsp/tlm_1_interfaces/tlm_fifo_ifs.h ../../../src/tlm_core/tlm_1/tlm_req_rsp/tlm_1_interfaces/tlm_master_slave_ifs.h ../../../src/tlm_core/tlm_1/tlm_req_rsp/tlm_1_interfaces/tlm_tag.h '/home/ahmed/Downloads/systemc-2.3.1a/include/tlm_core/tlm_1/tlm_req_rsp/tlm_1_interfaces'
 /usr/bin/mkdir -p '/home/ahmed/Downloads/systemc-2.3.1a/lib64-linux64/pkgconfig'
 /usr/bin/install -c -m 644 tlm.pc '/home/ahmed/Downloads/systemc-2.3.1a/lib64-linux64/pkgconfig'
make[3]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src/tlm_core'
make[2]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src/tlm_core'
Making install in tlm_utils
make[2]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src/tlm_utils'
make[3]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src/tlm_utils'
make[3]: Nothing to be done for 'install-exec-am'.
 /usr/bin/mkdir -p '/home/ahmed/Downloads/systemc-2.3.1a/include/tlm_utils'
 /usr/bin/install -c -m 644  ../../../src/tlm_utils/instance_specific_extensions.h ../../../src/tlm_utils/multi_passthrough_initiator_socket.h ../../../src/tlm_utils/multi_passthrough_target_socket.h ../../../src/tlm_utils/multi_socket_bases.h ../../../src/tlm_utils/passthrough_target_socket.h ../../../src/tlm_utils/peq_with_cb_and_phase.h ../../../src/tlm_utils/peq_with_get.h ../../../src/tlm_utils/simple_initiator_socket.h ../../../src/tlm_utils/simple_target_socket.h ../../../src/tlm_utils/tlm_quantumkeeper.h '/home/ahmed/Downloads/systemc-2.3.1a/include/tlm_utils/.'
make[3]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src/tlm_utils'
make[2]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src/tlm_utils'
Making install in .
make[2]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src'
make[3]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src'
make[3]: Nothing to be done for 'install-exec-am'.
 /usr/bin/mkdir -p '/home/ahmed/Downloads/systemc-2.3.1a/include'
 /usr/bin/install -c -m 644  ../../src/systemc ../../src/systemc.h ../../src/tlm ../../src/tlm.h '/home/ahmed/Downloads/systemc-2.3.1a/include/.'
make[3]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src'
make[2]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src'
make[1]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src'
Making install in examples
make[1]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/examples'
Making install in sysc
make[2]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/examples/sysc'
  GEN    copy-check-data
make[3]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/examples/sysc'
make[3]: Nothing to be done for 'install-exec-am'.
make[3]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/examples/sysc'
make[2]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/examples/sysc'
Making install in tlm
make[2]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/examples/tlm'
Making install in common
make[3]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/examples/tlm/common'
  GEN    copy-check-data
make[4]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/examples/tlm/common'
make[4]: Nothing to be done for 'install-exec-am'.
make[4]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/examples/tlm/common'
make[3]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/examples/tlm/common'
Making install in .
make[3]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/examples/tlm'
  GEN    copy-check-data
make[4]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/examples/tlm'
make[4]: Nothing to be done for 'install-exec-am'.
make[4]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/examples/tlm'
make[3]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/examples/tlm'
make[2]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/examples/tlm'
make[2]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/examples'
  GEN    copy-check-data
make[3]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/examples'
make[3]: Nothing to be done for 'install-exec-am'.
make[3]: Nothing to be done for 'install-data-am'.
make[3]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/examples'
make[2]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/examples'
make[1]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/examples'
make[1]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir'
make[2]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir'
make[2]: Nothing to be done for 'install-exec-am'.
make[2]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir'
make[1]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir'
ahmed@linux-la5e:~/Downloads/systemc-2.3.1a/objdir> make checkMaking check in docs
make[1]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/docs'
make[1]: Nothing to be done for 'check'.
make[1]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/docs'
Making check in src
make[1]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src'
Making check in sysc
make[2]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src/sysc'
Making check in kernel
make[3]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src/sysc/kernel'
make[3]: Nothing to be done for 'check'.
make[3]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src/sysc/kernel'
Making check in qt
make[3]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src/sysc/qt'
make  check-am
make[4]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src/sysc/qt'
make[4]: Nothing to be done for 'check-am'.
make[4]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src/sysc/qt'
make[3]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src/sysc/qt'
Making check in communication
make[3]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src/sysc/communication'
make[3]: Nothing to be done for 'check'.
make[3]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src/sysc/communication'
Making check in tracing
make[3]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src/sysc/tracing'
make[3]: Nothing to be done for 'check'.
make[3]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src/sysc/tracing'
Making check in utils
make[3]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src/sysc/utils'
make[3]: Nothing to be done for 'check'.
make[3]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src/sysc/utils'
Making check in packages/boost
make[3]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src/sysc/packages/boost'
make[3]: Nothing to be done for 'check'.
make[3]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src/sysc/packages/boost'
Making check in datatypes
make[3]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src/sysc/datatypes'
make[3]: Nothing to be done for 'check'.
make[3]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src/sysc/datatypes'
make[3]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src/sysc'
make[3]: Nothing to be done for 'check-am'.
make[3]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src/sysc'
make[2]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src/sysc'
Making check in tlm_core
make[2]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src/tlm_core'
make[2]: Nothing to be done for 'check'.
make[2]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src/tlm_core'
Making check in tlm_utils
make[2]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src/tlm_utils'
make[2]: Nothing to be done for 'check'.
make[2]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src/tlm_utils'
Making check in .
make[2]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src'
make[2]: Nothing to be done for 'check-am'.
make[2]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src'
make[1]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/src'
Making check in examples
make[1]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/examples'
Making check in sysc
make[2]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/examples/sysc'
  GEN    copy-check-data
make  fft/fft_flpt/test fft/fft_fxpt/test fir/test fir/test_rtl pipe/test pkt_switch/test risc_cpu/test rsa/test simple_bus/test simple_fifo/test simple_perf/test 2.1/dpipe/test 2.1/forkjoin/test 2.1/reset_signal_is/test 2.1/sc_export/test 2.1/sc_report/test 2.1/scx_barrier/test 2.1/scx_mutex_w_policy/test 2.1/specialized_signals/test 2.3/sc_rvd/test 2.3/sc_ttd/test fft/fft_flpt/test.sh fft/fft_fxpt/test.sh fir/test.sh fir/test_rtl.sh pipe/test.sh pkt_switch/test.sh risc_cpu/test.sh rsa/test.sh simple_bus/test.sh simple_fifo/test.sh simple_perf/test.sh 2.1/dpipe/test.sh 2.1/forkjoin/test.sh 2.1/reset_signal_is/test.sh 2.1/sc_export/test.sh 2.1/sc_report/test.sh 2.1/scx_barrier/test.sh 2.1/scx_mutex_w_policy/test.sh 2.1/specialized_signals/test.sh 2.3/sc_rvd/test.sh 2.3/sc_ttd/test.sh
make[3]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/examples/sysc'
  CXX    fft/fft_flpt/fft_fft_flpt_test-fft.o
  CXX    fft/fft_flpt/fft_fft_flpt_test-main.o
  CXX    fft/fft_flpt/fft_fft_flpt_test-sink.o
  CXX    fft/fft_flpt/fft_fft_flpt_test-source.o
  CXXLD  fft/fft_flpt/test
  CXX    fft/fft_fxpt/fft_fft_fxpt_test-fft.o
  CXX    fft/fft_fxpt/fft_fft_fxpt_test-main.o
  CXX    fft/fft_fxpt/fft_fft_fxpt_test-sink.o
  CXX    fft/fft_fxpt/fft_fft_fxpt_test-source.o
  CXXLD  fft/fft_fxpt/test
  CXX    fir/fir_test-stimulus.o
  CXX    fir/fir_test-display.o
  CXX    fir/fir_test-fir.o
  CXX    fir/fir_test-main.o
  CXXLD  fir/test
  CXX    fir/stimulus.o
  CXX    fir/display.o
  CXX    fir/fir_fsm.o
  CXX    fir/fir_data.o
  CXX    fir/main_rtl.o
  CXXLD  fir/test_rtl
  CXX    pipe/pipe_test-display.o
  CXX    pipe/pipe_test-main.o
  CXX    pipe/pipe_test-numgen.o
  CXX    pipe/pipe_test-stage1.o
  CXX    pipe/pipe_test-stage2.o
  CXX    pipe/pipe_test-stage3.o
  CXXLD  pipe/test
  CXX    pkt_switch/pkt_switch_test-fifo.o
  CXX    pkt_switch/pkt_switch_test-main.o
  CXX    pkt_switch/pkt_switch_test-receiver.o
  CXX    pkt_switch/pkt_switch_test-sender.o
  CXX    pkt_switch/pkt_switch_test-switch.o
  CXX    pkt_switch/pkt_switch_test-switch_clk.o
  CXXLD  pkt_switch/test
  CXX    risc_cpu/risc_cpu_test-bios.o
../../../examples/sysc/risc_cpu/bios.cpp: In member function void bios::entry()’:
../../../examples/sysc/risc_cpu/bios.cpp:57:10: warning: this if clause does not guard... [-Wmisleading-indentation]
          if (wait_cycles > 2)
          ^~
../../../examples/sysc/risc_cpu/bios.cpp:59:12: note: ...this statement, but the latter is misleadingly indented as if it is guarded by the if
            dataout.write(imemory[address]);
            ^~~~~~~
  CXX    risc_cpu/risc_cpu_test-dcache.o
  CXX    risc_cpu/risc_cpu_test-decode.o
  CXX    risc_cpu/risc_cpu_test-exec.o
  CXX    risc_cpu/risc_cpu_test-fetch.o
  CXX    risc_cpu/risc_cpu_test-floating.o
  CXX    risc_cpu/risc_cpu_test-icache.o
  CXX    risc_cpu/risc_cpu_test-main.o
  CXX    risc_cpu/risc_cpu_test-mmxu.o
  CXX    risc_cpu/risc_cpu_test-paging.o
  CXX    risc_cpu/risc_cpu_test-pic.o
  CXXLD  risc_cpu/test
  CXX    rsa/rsa_test-rsa.o
  CXXLD  rsa/test
  CXX    simple_bus/simple_bus_test-simple_bus.o
  CXX    simple_bus/simple_bus_test-simple_bus_arbiter.o
  CXX    simple_bus/simple_bus_test-simple_bus_main.o
  CXX    simple_bus/simple_bus_test-simple_bus_master_blocking.o
  CXX    simple_bus/simple_bus_test-simple_bus_master_direct.o
  CXX    simple_bus/simple_bus_test-simple_bus_master_non_blocking.o
  CXX    simple_bus/simple_bus_test-simple_bus_types.o
  CXX    simple_bus/simple_bus_test-simple_bus_tools.o
  CXXLD  simple_bus/test
  CXX    simple_fifo/simple_fifo_test-simple_fifo.o
  CXXLD  simple_fifo/test
  CXX    simple_perf/simple_perf_test-simple_perf.o
  CXXLD  simple_perf/test
  CXX    2.1/dpipe/2_1_dpipe_test-main.o
  CXXLD  2.1/dpipe/test
  CXX    2.1/forkjoin/2_1_forkjoin_test-forkjoin.o
In file included from ../../../src/sysc/packages/boost/mem_fn.hpp:25:0,
                 from ../../../src/sysc/packages/boost/bind.hpp:26,
                 from ../../../src/sysc/kernel/sc_boost.h:44,
                 from ../../../src/sysc/kernel/sc_dynamic_processes.h:36,
                 from ../../../src/systemc:123,
                 from ../../../src/systemc.h:209,
                 from ../../../examples/sysc/2.1/forkjoin/forkjoin.cpp:42:
../../../src/sysc/packages/boost/get_pointer.hpp:21:40: warning: template<class> class std::auto_ptr is deprecated [-Wdeprecated-declarations]
 template<class T> T * get_pointer(std::auto_ptr<T> const& p)
                                        ^~~~~~~~
In file included from /usr/include/c++/6/memory:81:0,
                 from ../../../src/systemc.h:53,
                 from ../../../examples/sysc/2.1/forkjoin/forkjoin.cpp:42:
/usr/include/c++/6/bits/unique_ptr.h:49:28: note: declared here
   template<typename> class auto_ptr;
                            ^~~~~~~~
  CXXLD  2.1/forkjoin/test
  CXX    2.1/reset_signal_is/2_1_reset_signal_is_test-reset_signal_is.o
  CXXLD  2.1/reset_signal_is/test
  CXX    2.1/sc_export/2_1_sc_export_test-main.o
  CXXLD  2.1/sc_export/test
  CXX    2.1/sc_report/2_1_sc_report_test-main.o
  CXXLD  2.1/sc_report/test
  CXX    2.1/scx_barrier/2_1_scx_barrier_test-main.o
  CXXLD  2.1/scx_barrier/test
  CXX    2.1/scx_mutex_w_policy/2_1_scx_mutex_w_policy_test-scx_mutex_w_policy.o
  CXXLD  2.1/scx_mutex_w_policy/test
  CXX    2.1/specialized_signals/2_1_specialized_signals_test-main.o
In file included from ../../../examples/sysc/2.1/specialized_signals/main.cpp:41:0:
../../../examples/sysc/2.1/specialized_signals/scx_signal_uint.h: In instantiation of void sc_core::sc_signal<sc_dt::sc_uint<W> >::register_port(sc_core::sc_port_base&, const char*) [with int W = 8]’:
../../../examples/sysc/2.1/specialized_signals/main.cpp:265:1:   required from here
../../../examples/sysc/2.1/specialized_signals/scx_signal_uint.h:634:25: warning: the compiler can assume that the address of port_ will always evaluate to true [-Waddress]
             if ( &port_ && if_typename_ ) {} // Silence unused args warning.
                  ~~~~~~~^~~~~~~~~~~~~~~
../../../examples/sysc/2.1/specialized_signals/scx_signal_uint.h:634:25: warning: the compiler can assume that the address of port_ will always evaluate to true [-Waddress]
In file included from ../../../examples/sysc/2.1/specialized_signals/main.cpp:39:0:
../../../examples/sysc/2.1/specialized_signals/scx_signal_int.h: In instantiation of void sc_core::sc_signal<sc_dt::sc_int<W> >::register_port(sc_core::sc_port_base&, const char*) [with int W = 8]’:
../../../examples/sysc/2.1/specialized_signals/main.cpp:265:1:   required from here
../../../examples/sysc/2.1/specialized_signals/scx_signal_int.h:599:25: warning: the compiler can assume that the address of port_ will always evaluate to true [-Waddress]
             if ( &port_ && if_typename_ ) {} // Silence unused args warning.
                  ~~~~~~~^~~~~~~~~~~~~~~
../../../examples/sysc/2.1/specialized_signals/scx_signal_int.h:599:25: warning: the compiler can assume that the address of port_ will always evaluate to true [-Waddress]
In file included from ../../../examples/sysc/2.1/specialized_signals/main.cpp:42:0:
../../../examples/sysc/2.1/specialized_signals/scx_signal_unsigned.h: In instantiation of void sc_core::sc_signal<sc_dt::sc_biguint<W> >::register_port(sc_core::sc_port_base&, const char*) [with int W = 8]’:
../../../examples/sysc/2.1/specialized_signals/main.cpp:265:1:   required from here
../../../examples/sysc/2.1/specialized_signals/scx_signal_unsigned.h:734:25: warning: the compiler can assume that the address of port_ will always evaluate to true [-Waddress]
             if ( &port_ && if_typename_ ) {} // Silence unused args warning.
                  ~~~~~~~^~~~~~~~~~~~~~~
../../../examples/sysc/2.1/specialized_signals/scx_signal_unsigned.h:734:25: warning: the compiler can assume that the address of port_ will always evaluate to true [-Waddress]
In file included from ../../../examples/sysc/2.1/specialized_signals/main.cpp:40:0:
../../../examples/sysc/2.1/specialized_signals/scx_signal_signed.h: In instantiation of void sc_core::sc_signal<sc_dt::sc_bigint<W> >::register_port(sc_core::sc_port_base&, const char*) [with int W = 8]’:
../../../examples/sysc/2.1/specialized_signals/main.cpp:265:1:   required from here
../../../examples/sysc/2.1/specialized_signals/scx_signal_signed.h:730:25: warning: the compiler can assume that the address of port_ will always evaluate to true [-Waddress]
             if ( &port_ && if_typename_ ) {} // Silence unused args warning.
                  ~~~~~~~^~~~~~~~~~~~~~~
../../../examples/sysc/2.1/specialized_signals/scx_signal_signed.h:730:25: warning: the compiler can assume that the address of port_ will always evaluate to true [-Waddress]
In file included from ../../../examples/sysc/2.1/specialized_signals/main.cpp:41:0:
../../../examples/sysc/2.1/specialized_signals/scx_signal_uint.h: In instantiation of void sc_core::sc_signal<sc_dt::sc_uint<W> >::register_port(sc_core::sc_port_base&, const char*) [with int W = 4]’:
../../../examples/sysc/2.1/specialized_signals/main.cpp:265:1:   required from here
../../../examples/sysc/2.1/specialized_signals/scx_signal_uint.h:634:25: warning: the compiler can assume that the address of port_ will always evaluate to true [-Waddress]
             if ( &port_ && if_typename_ ) {} // Silence unused args warning.
                  ~~~~~~~^~~~~~~~~~~~~~~
../../../examples/sysc/2.1/specialized_signals/scx_signal_uint.h:634:25: warning: the compiler can assume that the address of port_ will always evaluate to true [-Waddress]
In file included from ../../../examples/sysc/2.1/specialized_signals/main.cpp:39:0:
../../../examples/sysc/2.1/specialized_signals/scx_signal_int.h: In instantiation of void sc_core::sc_signal<sc_dt::sc_int<W> >::register_port(sc_core::sc_port_base&, const char*) [with int W = 4]’:
../../../examples/sysc/2.1/specialized_signals/main.cpp:265:1:   required from here
../../../examples/sysc/2.1/specialized_signals/scx_signal_int.h:599:25: warning: the compiler can assume that the address of port_ will always evaluate to true [-Waddress]
             if ( &port_ && if_typename_ ) {} // Silence unused args warning.
                  ~~~~~~~^~~~~~~~~~~~~~~
../../../examples/sysc/2.1/specialized_signals/scx_signal_int.h:599:25: warning: the compiler can assume that the address of port_ will always evaluate to true [-Waddress]
In file included from ../../../examples/sysc/2.1/specialized_signals/main.cpp:42:0:
../../../examples/sysc/2.1/specialized_signals/scx_signal_unsigned.h: In instantiation of void sc_core::sc_signal<sc_dt::sc_biguint<W> >::register_port(sc_core::sc_port_base&, const char*) [with int W = 4]’:
../../../examples/sysc/2.1/specialized_signals/main.cpp:265:1:   required from here
../../../examples/sysc/2.1/specialized_signals/scx_signal_unsigned.h:734:25: warning: the compiler can assume that the address of port_ will always evaluate to true [-Waddress]
             if ( &port_ && if_typename_ ) {} // Silence unused args warning.
                  ~~~~~~~^~~~~~~~~~~~~~~
../../../examples/sysc/2.1/specialized_signals/scx_signal_unsigned.h:734:25: warning: the compiler can assume that the address of port_ will always evaluate to true [-Waddress]
In file included from ../../../examples/sysc/2.1/specialized_signals/main.cpp:40:0:
../../../examples/sysc/2.1/specialized_signals/scx_signal_signed.h: In instantiation of void sc_core::sc_signal<sc_dt::sc_bigint<W> >::register_port(sc_core::sc_port_base&, const char*) [with int W = 4]’:
../../../examples/sysc/2.1/specialized_signals/main.cpp:265:1:   required from here
../../../examples/sysc/2.1/specialized_signals/scx_signal_signed.h:730:25: warning: the compiler can assume that the address of port_ will always evaluate to true [-Waddress]
             if ( &port_ && if_typename_ ) {} // Silence unused args warning.
                  ~~~~~~~^~~~~~~~~~~~~~~
../../../examples/sysc/2.1/specialized_signals/scx_signal_signed.h:730:25: warning: the compiler can assume that the address of port_ will always evaluate to true [-Waddress]
In file included from ../../../examples/sysc/2.1/specialized_signals/main.cpp:41:0:
../../../examples/sysc/2.1/specialized_signals/scx_signal_uint.h: In member function void sc_core::sc_signal<sc_dt::sc_uint<W> >::register_port(sc_core::sc_port_base&, const char*) [with int W = 8]’:
../../../examples/sysc/2.1/specialized_signals/scx_signal_uint.h:634:25: warning: nonnull argument port_ compared to NULL [-Wnonnull-compare]
             if ( &port_ && if_typename_ ) {} // Silence unused args warning.
                  ~~~~~~~^~~~~~~~~~~~~~~
In file included from ../../../examples/sysc/2.1/specialized_signals/main.cpp:39:0:
../../../examples/sysc/2.1/specialized_signals/scx_signal_int.h: In member function void sc_core::sc_signal<sc_dt::sc_int<W> >::register_port(sc_core::sc_port_base&, const char*) [with int W = 8]’:
../../../examples/sysc/2.1/specialized_signals/scx_signal_int.h:599:25: warning: nonnull argument port_ compared to NULL [-Wnonnull-compare]
             if ( &port_ && if_typename_ ) {} // Silence unused args warning.
                  ~~~~~~~^~~~~~~~~~~~~~~
In file included from ../../../examples/sysc/2.1/specialized_signals/main.cpp:42:0:
../../../examples/sysc/2.1/specialized_signals/scx_signal_unsigned.h: In member function void sc_core::sc_signal<sc_dt::sc_biguint<W> >::register_port(sc_core::sc_port_base&, const char*) [with int W = 8]’:
../../../examples/sysc/2.1/specialized_signals/scx_signal_unsigned.h:734:25: warning: nonnull argument port_ compared to NULL [-Wnonnull-compare]
             if ( &port_ && if_typename_ ) {} // Silence unused args warning.
                  ~~~~~~~^~~~~~~~~~~~~~~
In file included from ../../../examples/sysc/2.1/specialized_signals/main.cpp:40:0:
../../../examples/sysc/2.1/specialized_signals/scx_signal_signed.h: In member function void sc_core::sc_signal<sc_dt::sc_bigint<W> >::register_port(sc_core::sc_port_base&, const char*) [with int W = 8]’:
../../../examples/sysc/2.1/specialized_signals/scx_signal_signed.h:730:25: warning: nonnull argument port_ compared to NULL [-Wnonnull-compare]
             if ( &port_ && if_typename_ ) {} // Silence unused args warning.
                  ~~~~~~~^~~~~~~~~~~~~~~
In file included from ../../../examples/sysc/2.1/specialized_signals/main.cpp:41:0:
../../../examples/sysc/2.1/specialized_signals/scx_signal_uint.h: In member function void sc_core::sc_signal<sc_dt::sc_uint<W> >::register_port(sc_core::sc_port_base&, const char*) [with int W = 4]’:
../../../examples/sysc/2.1/specialized_signals/scx_signal_uint.h:634:25: warning: nonnull argument port_ compared to NULL [-Wnonnull-compare]
             if ( &port_ && if_typename_ ) {} // Silence unused args warning.
                  ~~~~~~~^~~~~~~~~~~~~~~
In file included from ../../../examples/sysc/2.1/specialized_signals/main.cpp:39:0:
../../../examples/sysc/2.1/specialized_signals/scx_signal_int.h: In member function void sc_core::sc_signal<sc_dt::sc_int<W> >::register_port(sc_core::sc_port_base&, const char*) [with int W = 4]’:
../../../examples/sysc/2.1/specialized_signals/scx_signal_int.h:599:25: warning: nonnull argument port_ compared to NULL [-Wnonnull-compare]
             if ( &port_ && if_typename_ ) {} // Silence unused args warning.
                  ~~~~~~~^~~~~~~~~~~~~~~
In file included from ../../../examples/sysc/2.1/specialized_signals/main.cpp:42:0:
../../../examples/sysc/2.1/specialized_signals/scx_signal_unsigned.h: In member function void sc_core::sc_signal<sc_dt::sc_biguint<W> >::register_port(sc_core::sc_port_base&, const char*) [with int W = 4]’:
../../../examples/sysc/2.1/specialized_signals/scx_signal_unsigned.h:734:25: warning: nonnull argument port_ compared to NULL [-Wnonnull-compare]
             if ( &port_ && if_typename_ ) {} // Silence unused args warning.
                  ~~~~~~~^~~~~~~~~~~~~~~
In file included from ../../../examples/sysc/2.1/specialized_signals/main.cpp:40:0:
../../../examples/sysc/2.1/specialized_signals/scx_signal_signed.h: In member function void sc_core::sc_signal<sc_dt::sc_bigint<W> >::register_port(sc_core::sc_port_base&, const char*) [with int W = 4]’:
../../../examples/sysc/2.1/specialized_signals/scx_signal_signed.h:730:25: warning: nonnull argument port_ compared to NULL [-Wnonnull-compare]
             if ( &port_ && if_typename_ ) {} // Silence unused args warning.
                  ~~~~~~~^~~~~~~~~~~~~~~
  CXX    2.1/specialized_signals/2_1_specialized_signals_test-scx_signal_int.o
  CXX    2.1/specialized_signals/2_1_specialized_signals_test-scx_signal_uint.o
  CXX    2.1/specialized_signals/2_1_specialized_signals_test-scx_signal_signed.o
  CXX    2.1/specialized_signals/2_1_specialized_signals_test-scx_signal_unsigned.o
  CXXLD  2.1/specialized_signals/test
  CXX    2.3/sc_rvd/2_3_sc_rvd_test-main.o
  CXXLD  2.3/sc_rvd/test
  CXX    2.3/sc_ttd/2_3_sc_ttd_test-main.o
  CXXLD  2.3/sc_ttd/test
  GEN    fft/fft_flpt/test.sh
  GEN    fft/fft_fxpt/test.sh
  GEN    fir/test.sh
  GEN    fir/test_rtl.sh
  GEN    pipe/test.sh
  GEN    pkt_switch/test.sh
  GEN    risc_cpu/test.sh
  GEN    rsa/test.sh
  GEN    simple_bus/test.sh
  GEN    simple_fifo/test.sh
  GEN    simple_perf/test.sh
  GEN    2.1/dpipe/test.sh
  GEN    2.1/forkjoin/test.sh
  GEN    2.1/reset_signal_is/test.sh
  GEN    2.1/sc_export/test.sh
  GEN    2.1/sc_report/test.sh
  GEN    2.1/scx_barrier/test.sh
  GEN    2.1/scx_mutex_w_policy/test.sh
  GEN    2.1/specialized_signals/test.sh
  GEN    2.3/sc_rvd/test.sh
  GEN    2.3/sc_ttd/test.sh
make[3]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/examples/sysc'
make  check-TESTS
make[3]: Entering directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/examples/sysc'

        SystemC 2.3.1-Accellera --- Jan 13 2017 13:59:40
        Copyright (c) 1996-2014 by all Contributors,
        ALL RIGHTS RESERVED
PASS: fft/fft_flpt/test.sh

        SystemC 2.3.1-Accellera --- Jan 13 2017 13:59:40
        Copyright (c) 1996-2014 by all Contributors,
        ALL RIGHTS RESERVED
PASS: fft/fft_fxpt/test.sh

        SystemC 2.3.1-Accellera --- Jan 13 2017 13:59:40
        Copyright (c) 1996-2014 by all Contributors,
        ALL RIGHTS RESERVED
PASS: fir/test.sh

        SystemC 2.3.1-Accellera --- Jan 13 2017 13:59:40
        Copyright (c) 1996-2014 by all Contributors,
        ALL RIGHTS RESERVED
PASS: fir/test_rtl.sh

        SystemC 2.3.1-Accellera --- Jan 13 2017 13:59:40
        Copyright (c) 1996-2014 by all Contributors,
        ALL RIGHTS RESERVED
PASS: pipe/test.sh

        SystemC 2.3.1-Accellera --- Jan 13 2017 13:59:40
        Copyright (c) 1996-2014 by all Contributors,
        ALL RIGHTS RESERVED
PASS: pkt_switch/test.sh

        SystemC 2.3.1-Accellera --- Jan 13 2017 13:59:40
        Copyright (c) 1996-2014 by all Contributors,
        ALL RIGHTS RESERVED
PASS: risc_cpu/test.sh

        SystemC 2.3.1-Accellera --- Jan 13 2017 13:59:40
        Copyright (c) 1996-2014 by all Contributors,
        ALL RIGHTS RESERVED
PASS: rsa/test.sh

        SystemC 2.3.1-Accellera --- Jan 13 2017 13:59:40
        Copyright (c) 1996-2014 by all Contributors,
        ALL RIGHTS RESERVED
OK
PASS: simple_bus/test.sh

        SystemC 2.3.1-Accellera --- Jan 13 2017 13:59:40
        Copyright (c) 1996-2014 by all Contributors,
        ALL RIGHTS RESERVED
PASS: simple_fifo/test.sh

        SystemC 2.3.1-Accellera --- Jan 13 2017 13:59:40
        Copyright (c) 1996-2014 by all Contributors,
        ALL RIGHTS RESERVED
PASS: simple_perf/test.sh
FAIL: 2.1/dpipe/test.sh
FAIL: 2.1/forkjoin/test.sh
FAIL: 2.1/reset_signal_is/test.sh
FAIL: 2.1/sc_export/test.sh
FAIL: 2.1/sc_report/test.sh
FAIL: 2.1/scx_barrier/test.sh
FAIL: 2.1/scx_mutex_w_policy/test.sh
FAIL: 2.1/specialized_signals/test.sh
FAIL: 2.3/sc_rvd/test.sh
FAIL: 2.3/sc_ttd/test.sh
=============================================================
10 of 21 tests failed
Please report to http://forums.accellera.org/forum/9-systemc/
=============================================================
make[3]: *** [Makefile:2684: check-TESTS] Error 1
make[3]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/examples/sysc'
make[2]: *** [Makefile:2808: check-am] Error 2
make[2]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/examples/sysc'
make[1]: *** [Makefile:357: check-recursive] Error 1
make[1]: Leaving directory '/home/ahmed/Downloads/systemc-2.3.1a/objdir/examples'
make: *** [Makefile:434: check-recursive] Error 1
ahmed@linux-la5e:~/Downloads/systemc-2.3.1a/objdir> 

 

Note: That I have commented std::gets out in systemc.h like it was told in the forum:

 

Link to comment
Share on other sites

Hello,

The warnings, which you observe are due that the SystemC 2.3.1 sources as the underlying IEEE Std 1666-2011 is still based on the C++'03 standard, but your C++-compiler (g++ 6) defaults to the C++'14 standard. To diagnose the failing tests, you should examine the run.log and diff.log files, which have been generated by the test.sh scripts in the directories with the failing tests. Please report your findings here so that we can see whether there are new issues, which need fixing in a future version of SystemC.

To more thoroughly test your compiled SystemC library, you can compile the SystemC regression test suite against your installed SystemC library.

Best regards,

Torsten Maehne

Link to comment
Share on other sites

Strangely enough I am also facing make check issues under Cygwin (64-Bit) but earlier I didn't face any issues, will need to narrow down recent package updates.

With g++ -v:

Using built-in specs.
COLLECT_GCC=g++
COLLECT_LTO_WRAPPER=/usr/lib/gcc/x86_64-pc-cygwin/5.4.0/lto-wrapper.exe
Target: x86_64-pc-cygwin
Configured with: /cygdrive/i/szsz/tmpp/gcc/gcc-5.4.0-1.x86_64/src/gcc-5.4.0/configure --srcdir=/cygdrive/i/szsz/tmpp/gcc/gcc-5.4.0-1.x86_64/src/gcc-5.4.0 --prefix=/usr --exec-prefix=/usr --localstatedir=/var --sysconfdir=/etc --docdir=/usr/share/doc/gcc --htmldir=/usr/share/doc/gcc/html -C --build=x86_64-pc-cygwin --host=x86_64-pc-cygwin --target=x86_64-pc-cygwin --without-libiconv-prefix --without-libintl-prefix --libexecdir=/usr/lib --enable-shared --enable-shared-libgcc --enable-static --enable-version-specific-runtime-libs --enable-bootstrap --enable-__cxa_atexit --with-dwarf2 --with-tune=generic --enable-languages=ada,c,c++,fortran,lto,objc,obj-c++ --enable-graphite --enable-threads=posix --enable-libatomic --enable-libcilkrts --enable-libgomp --enable-libitm --enable-libquadmath --enable-libquadmath-support --enable-libssp --enable-libada --enable-libgcj-sublibs --disable-java-awt --disable-symvers --with-ecj-jar=/usr/share/java/ecj.jar --with-gnu-ld --with-gnu-as --with-cloog-include=/usr/include/cloog-isl --without-libiconv-prefix --without-libintl-prefix --with-system-zlib --enable-linker-build-id --with-default-libstdcxx-abi=gcc4-compatible
Thread model: posix
gcc version 5.4.0 (GCC)

@donpalavi you can download the systemc-regressions test suite from:

http://accellera.org/downloads/standards/systemc

or directly download from: http://accellera.org/images/downloads/standards/systemc/systemc-regressions-2.3.1a.tar.gz

Then follow these steps to run the systemc-regressions test suite:

export SYSTEMC_HOME="<path_to_your_SystemC_installation>"
tar xf systemc-regressions-2.3.1a.tar.gz
cd systemc-regressions-2.3.1a/
cd scripts/

# Run the verify.pl script with -arch configuration:
# This command will run the entire test suite with SystemC and TLM test cases.
# e.g.: ./verify.pl -arch linux64 systemc tlm
# linux64: For 64-bit linux systems.
# linux: For 32-bit linux systems.
# cygwin: For 32-bit Cygwin Environment.
# cygwin64: For 64-Bit Cygwin Environment.

./verify.pl -arch linux64 systemc tlm

Wait for the test cases to build and verify the results(It will take some time as there are close to 858 test cases.)

Currently in my environment 15 Test Cases under SystemC are failing and under TLM none of the test cases fail.

Regards,

Ameya Vikram Singh

 

Link to comment
Share on other sites

On 1/14/2017 at 5:32 PM, maehne said:

The warnings, which you observe are due that the SystemC 2.3.1 sources as the underlying IEEE Std 1666-2011 is still based on the C++'03 standard, but your C++-compiler (g++ 6) defaults to the C++'14 standard.

 

Can you or someone please explain me, how do I compile systemc explicitly with the C++'03 standard?

 

I think that should solve the matter than. And I can do my course work.

Link to comment
Share on other sites

It seems the problem lies in the test.sh script which prematurely stops execution due to setting up of Shell Script variable names starting with numbers.

@donpalavi can you try to make following changes to the following file in the systemc-2.3.1a source code:

File: config/test.sh.in

Change the following line (line: 49) from:

TESTNAME=`dirname "${TEST}" | sed "s:[^A-Za-z0-9_\@]:_:g" `

to:

TESTNAME=`dirname "${TEST}" | sed "s:[^A-Za-z_\@]:_:g" `

This should temporarily resolves the SystemC unit test cases failures.

As for the C++'03 you can try setting the CXX environment variable like this:

export CXX="g++ -std=c++03"

Regards,

Ameya Vikram

 

 

Link to comment
Share on other sites

Quote
4 hours ago, AmeyaVS said:

It seems the problem lies in the test.sh script which prematurely stops execution due to setting up of Shell Script variable names starting with numbers.

@donpalavi can you try to make following changes to the following file in the systemc-2.3.1a source code:



File: config/test.sh.in

Change the following line (line: 49) from:

TESTNAME=`dirname "${TEST}" | sed "s:[^A-Za-z0-9_\@]:_:g" `

to:

TESTNAME=`dirname "${TEST}" | sed "s:[^A-Za-z_\@]:_:g" `

 

 

 

 

 make check:

===================
All 11 tests passed
===================

 

 

Link to comment
Share on other sites

Ok let's recapitulate: I have tried several times compiling with different compiler versions 6.x and 4.8. With flags like

export CXX="g++ -std=c++03"

I always get these fails:

 

=============================================================
10 of 21 tests failed
Please report to http://forums.accellera.org/forum/9-systemc/
=============================================================

When I do these changes:


File: config/test.sh.in

Change the following line (line: 49) from:

TESTNAME=`dirname "${TEST}" | sed "s:[^A-Za-z0-9_\@]:_:g" `

to:

TESTNAME=`dirname "${TEST}" | sed "s:[^A-Za-z_\@]:_:g" `

All tests are passed.

 

When I try running the regression test suit -I do not know if there are some passes- after I see asome hundred failed tests stop it.

So what is the current status, can I program in systemc or do I need regard something?

Link to comment
Share on other sites

2 hours ago, donpalavi said:

So what is the current status, can I program in systemc or do I need regard something?

It would be better that you go ahead with your assignments.

Try some simple examples see if they are building and the simulation is progressing.

In case you hit any other issue you can post the details here.

Regards,

Ameya Vikram Singh

Link to comment
Share on other sites

So now I try the program from my course.

After setting the systemc_home variable, I get this error during compilation:

ahmed@linux-la5e:~/Dropbox/TU-Berlin/SEES_WS1617/systemc/test/a> make
g++  -I /home/ahmed/systemc-2.3.1a/include -L /home/ahmed/systemc-2.3.1a/lib-linux64 -o main main.o  -lsystemc -lm 
g++: fatal error: -fuse-linker-plugin, but liblto_plugin.so not found
compilation terminated.
make: *** [Makefile:22: main] Error 1
ahmed@linux-la5e:~/Dropbox/TU-Berlin/SEES_WS1617/systemc/test/a> 

 

The output of g++ -v is

ahmed@linux-la5e:~/Dropbox/TU-Berlin/SEES_WS1617/systemc/test/a> g++ -v
Using built-in specs.
COLLECT_GCC=g++
Target: x86_64-suse-linux
Configured with: ../configure --prefix=/usr --infodir=/usr/share/info --mandir=/usr/share/man --libdir=/usr/lib64 --libexecdir=/usr/lib64 --enable-languages=c,c++,objc,fortran,obj-c++ --disable-checking --disable-bootstrap --with-gxx-include-dir=/usr/include/c++/4.8 --enable-ssp --disable-libssp --disable-plugin --with-bugurl=http://bugs.opensuse.org/ --with-pkgversion='SUSE Linux' --disable-libgcj --disable-libmudflap --with-slibdir=/lib64 --with-system-zlib --enable-__cxa_atexit --enable-libstdcxx-allocator=new --disable-libstdcxx-pch --enable-version-specific-runtime-libs --enable-linker-build-id --program-suffix=-4.8 --enable-linux-futex --without-system-libunwind --with-arch-32=i586 --with-tune=generic --build=x86_64-suse-linux
Thread model: posix
gcc version 4.8.0 20130218 [trunk revision 196121] (SUSE Linux) 
ahmed@linux-la5e:~/Dropbox/TU-Berlin/SEES_WS1617/systemc/test/a> 

I uploaded the program.

a.zip

Link to comment
Share on other sites

Are you using the same compiler with which you have built the SystemC library? If yes, then probably the compiler is currently non-functional or you'll need some dependency packages which is currently missing from your system.

Can you do a search for the file on your system for the mentioned shared library: liblto_plugin.so, probably under the path: /usr/lib/gcc/ or something similar?

Currently on my system I am able to build the example code without any issues.

Regards,

Ameya Vikram Singh

Link to comment
Share on other sites

Yes you seem to be right, with the missing or broken libraries:

I do have another openSUSE Tumbleweed system on my notebook, which does the latest c++ compiler and libraries.

I tried these following steps trying to build a new systemc installation:

g++ -v:  gcc version 6.2.1 20161209 [gcc-6-branch revision 243481] (SUSE Linux)

1. I set CXX variable:

export CXX="g++ -std=c++03"

2. ../configure

3. make

4. make install

5. make check

Although, I still have these  10 test errors.

Though I have set CXX with std=c++03, he still do not wanted to accept std::gets. So I have commented it  out.

 

When I set the LD_LIBRARY_PATH and SYSTEMC_HOME and I can compile the coursework test program.

So please tell me: Does the -std=c++03  with the latest c++ version has no effect?

Best regards

Ahmed

Link to comment
Share on other sites

5 hours ago, donpalavi said:

So please tell me: Does the -std=c++03  with the latest c++ version has no effect?

Currently I am working on G++ 5.4.0 and have tried setting the -std=c++98 and -std=c++03 and have observed no observable changes in the build library.

But changing from one system to other resulted in SystemC library failing to build in one of the environments.

I would recommend building the SystemC library without the "-std=c++03" compiler flag as you might get into some issues later when mixing other C++ libraries together while building System models.

The make check is currently failing due to usage of shell script variables starting with numbers in the SystemC library which has been fixed in newer releases of the bash shell.

Regards,

Ameya Vikram Singh

Link to comment
Share on other sites

One Mistake I had, was with the makefile of test program of my course:

UNIT=main

OBJS=$(UNIT).o 
TARGET=$(UNIT)

CXX=g++
CC=gcc

ARCH ?= $(shell uname -m)
ifeq ($(ARCH),x86_64)
LIBDIR = -L $(SYSTEMC_HOME)/lib-linux64
else
LIBDIR = -L $(SYSTEMC_HOME)/lib-linux
endif

INCDIR = -I $(SYSTEMC_HOME)/include
LIBS = -lsystemc -lm $(EXTRA_LIBS)

all: $(TARGET)

$(TARGET): $(OBJS)
	 $(CXX) $(CFLAGS) $(INCDIR) $(LIBDIR) -o $@ $(OBJS) $(LIBS)

#%.o: %.cpp
#	 $(CXX) $(CFLAGS) $(INCDIR) -g -c -Wno-deprecated $< -o $@

$(UNIT).o: $(UNIT).cpp Producer.h Consumer.h
	$(CXX) $(CFLAGS) $(INCDIR) -g -c -Wno-deprecated $< -o $@

clean:
	rm -f $(OBJS) $(TARGET) *~

The line:

LIBDIR = -L $(SYSTEMC_HOME)/lib-linux64

is wrong, it should be

LIBDIR = -L $(SYSTEMC_HOME)/lib64-linux64

Otherwise it works so far.

 

I will report this mistake to the course organisation.

Link to comment
Share on other sites

OK. I have exchanged some thoughts with the course organization:

When I compile with my amd64 system the systemc code, I get the

"lib64-linux64" library,

while the leader of the course get the

"lib-linux64" library.

 

This fact might interesting to the developers. So any ideas, why it is how it is?

For me, it is no matter, because I can work now.

 

Greets

Ahmed

Link to comment
Share on other sites

  • 3 months later...

Hi Ahmed,

apologies for the late reply.

On 1/23/2017 at 10:21 PM, donpalavi said:

When I compile with my amd64 system the systemc code, I get the

"lib64-linux64" library,

while the leader of the course get the

"lib-linux64" library.

This is indeed an surprising behavior on your system.  It seems the default "--libdir" setting from Autoconf is different on your platform - which is not expected.
Can you please post the output of running

../configure --help

In order to create the canonical "classic installation layout" of SystemC, you can override the --libdir default via (please note the single quotes):

../configure --libdir='${prefix}/lib'

I'll look into the TESTNAME incompatibility for the final 2.3.2 release as well.

Thanks and Greetings from Duisburg,
 Philipp

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...