Jump to content

how to make my signal accept many writers


Recommended Posts

Hi,

 

I have some situations in my models where I have to write a signal from different drivers.

To avoid 'multiple drivers to a signal' error I add SC_MANY_WRITERS flag.

Is there any way to allow multiple driers to a signal other than putting above flag?

 

I know about sc_resolved  but it works only for sc_logic.

 

whenever i regenerate netlist using tool I had to add the flag manually  as the tool doesn't have

any way to know its a signal with multiple writers.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...