Jump to content

using transaction_id along with sequence library


Recommended Posts

I would like to know the best way of making use of transaction_id (in my uvm_info displays) in following situations

1) Within one registered sequence when library.min_random_count > 1.

I am seeing transaction_id=1 for all transactions

2) When there are more than one registered sequences in the library how can I see a unique transaction_id for sequences originating from different sequences in sequence library?

Link to comment
Share on other sites

Transaction ID's can't be made unique, they just start at 1 for each sequence in the library, and if the sequences produce just one transaction each, the ID's will be 1 all the time. To identify the originating sequence, you could try something like this:

begin
  uvm_sequence_base parent = req.get_parent_sequence();
  `uvm_info($sformatf("seq=%s, transaction_id=%0d", parent.get_type_name(), 
    req.get_transaction_id()), UVM_NONE)
end

Erling

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...