Jump to content

uvm_reg_mem_hdl_paths_seq


Recommended Posts

hello,

I have some issues when I run uvm_reg_mem_hdl_paths_seq. I have two similar block containing the same registers, my hdl_path of blocks in my regmodel is declared as follow:

default_map = create_map("default_map", 'h0, 16, UVM_BIG_ENDIAN, 0);

default_map.add_submap(VW_OUTBOUND1.default_map, 'h00000);

VW_OUTBOUND1.clear_hdl_path();

VW_OUTBOUND1.add_hdl_path("u_OUT1[0]");

and

default_map = create_map("default_map", 'h0, 16, UVM_BIG_ENDIAN, 0);

default_map.add_submap(VW_OUTBOUND1.default_map, 'h10000);

VW_OUTBOUND1.clear_hdl_path();

VW_OUTBOUND1.add_hdl_path("u_OUT1[1]");

but I receive a fatal error telling me that the reference is wrong (error at line 121 of uvm_hdl.svh). This error happens only when this sequence is running.

Do anyone have some explanations?

thank,

paul

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...