Jump to content

Interface and Assertions


Recommended Posts

I wanted to pick few brains on this forum on use of interface for assertions.

We do lot of gray box assertions and coverage and till now, for these gray box assertions, we would use `define to get to the hierarchical path of assertions and then use the ` defines in assertion/coverage modules.

Would it make sense to really define interface signals for these instead of `define . I do not see this as an appealing idea at first hand , but also see some advantages.

For example, if your duv and hence interface is a home-grown one, you can write assertions/coverage within the interface with the help of interface signals and this way you can reuse it easily.

Wanted to know, what experts think about it.

Link to comment
Share on other sites

Whether you use an interface or a module, you'll still need to connect the assertion to the actual signals.

If you want to avoid hierarchical references, one way would be to instantiate the assertion interface/module in the relevant code module.

You can also use "bind" to load the assertions into the module that needs them.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...