Jump to content

uvm_factory::set_inst_override_by_name with wildcard original_type_name


Recommended Posts

Hi,

The code does support the wildcard instance override when the original type name argument contains wildcard metacharacters in the uvm_factory::set_inst_override_by_name method. Nonetheless, the user's guide and class reference manual don't mention this at all.

So what's the intention concerning this feature ? Will it be deprecated in the next revision so it is not recommended for use in the new development?

Thanks,

Robert

Link to comment
Share on other sites

  • 2 weeks later...

UVM 1.0 supports both glob (*+?) and regex expressions (if you're using the DPI library). In glob, *+? naturally match themselves (though not exclusively) so their presence in the real names is not a big problem. In regex, any special characters can be escaped with backslash.

Currently, It looks like the glob to regex conversion does not handle backslash (it preserves them). Thus, you can escape glob metacharacters with backslash just like in regex. (But only if the regex DPI functions are used.)

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...