Jump to content

How to use SVA check different clock delay


Recommended Posts

Hello,

 

I would like to check tiny delay b/w clk1 and clk2.(delay inside [1.2ns : 3.2ns]).

Can this kind of check be implemented by SVA?

How record 2 timestamp in one property?

@(posedge clk1)(1,tag1=$realtime) 

@(posedge clk2)(1,tag2=$realtime)

 

I review the SV 1800-2012 spec, there are some timing-check tasks. e.g. $setup, $hold, $timeskew ...

Are these tasks available in property?

$timeskew(posedge clk1, posedge clk2, delay_max,,,1);
$hold(posedge clk1, posedge clk2, delay_min);

 

 

Thank you,

Meng

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...