Jump to content

SystemC connect to SystemVerilog Interface?


samng

Recommended Posts

Hi,

 

I am interested in wrapping a C model with SystemC wrapper to mimic a future RTL design. Is it possible to create a port that can connect directly to a SystemVerilog Interface? For Example:

 

SystemC:

 

struct foo{

   bool valid;

   sc_uint<8> data;

};

 

SC_MODULE (xyz) {

   sc_inout<foo>  port1;

...

};

 

SystemVerilog:

 

interface abc ()

  logic valid;

  logic [7:0] data;

endinterface

 

abc abc_i();

 

xyz (

  .port1(abc_i);

....

);

 

Would this work?

 

Thanks,

Sam

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...