Jump to content

uvm_tlm_time not derived from uvm_object


Recommended Posts

Is there some reason uvm_tim_time is not derived from uvm_object?  It contains just the sort of timescale neutral API I was looking for, so I added it to a class I'm developing.  I'm not able to use the `uvm_field_object() macro with this uvm_tlm_time object, because it lacks some of the expected functions.  I suppose I could extend it and add those functions, or I could just not use the macro.  But this seems strangely inconsistent.

 

-Ryan

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...