Jump to content

** Error: (vsim-3601) Iteration limit reached at time 2990 ns.


Recommended Posts


Hi
i got this error, when run the test case and simulator is not terminating.
i am getting the error like this

run -continue
# ** Error: (vsim-3601) Iteration limit reached at time 2990 ns.
# steptrack::findloop2...
# Starting tryFindLoop at time 2,990 ns and delta 4949 .

Please suggests to me how can i resolve the problem.

Regards,
Santhosh

Link to comment
Share on other sites

Hi. 

 

Seems to me that you are trapped in an infinite delta activation loop (-> delta 4949). 

Maybe there are two processes in your design activating each other without reaching a stable state.

 

To find such a problem, you can add print commands to the suspicious processes. Or you can activate ModelSim's profiler to analyze if there is any process consuming a suspicious amount of processing time.

 

Greetings

Ralph

Link to comment
Share on other sites

  • 4 weeks later...

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...