Jump to content

Help on uvm_pw_scoreboard package.


Recommended Posts

I am using uvm_pw_scoreboard package available on accelera Contributions.I have following queries about it .

1. Why does this package uses uvm_analysis_export and analysis_fifo implementation instead of uvm_analysis_imp in pw_checker_predictor as well as pw_scoreboard.

 

2. I want to pass unique id to each transaction, to do this i have implemented as below:

 

my_local_predictor.sv file(not extended from pw_checker_predictor)

uvm_analysis_port #(item) predict_port;

predict_port = new ("predict_port",this);

 

item.set_transaction_item(unique_id);

$display("Predictor unique_id =%d",unique_id);

predict_port.write(item)

 

 

In extended pw_scoreboard

 

function int get_stream_id(uvm_transaction t )

     $display("Scoreboard unique_id =%d",t.get_transaction());

     get_stream_id = t.get_transaction_id();

endfunction

 

Output:

Predictor unique_id = 2;

Scoreboard unique_id= -1;

 

Any help is appreciated.

 

 

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...