Jump to content

uvm_reg write semaphore


Recommended Posts

Hello,
Inside uvm_reg there is a semaphore called m_atomic.
This semaphore protect from write/read from several registers at the same time.
I have a sequence that sends an item with write_reg task.
When this sequence is being called several times (different instances) while the write aceess was not finished I can't see the item of the new sequence untill the first one is finished.
I would like to stop the transaction sometimes when the new sequence arrives. How can I do this?
Thanks

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...