Jump to content

Can uvm_reg_predictor auto-compare the read values if register read is performed ?


Recommended Posts

Dear Sir

I am going to develop a register model for a VIP. I understood that the uvm_reg_predictor converts the bus transactions into reg transactions (with the help of adapter) and updates the mirror values of the register fields in the register model.

My Question: If a register read transaction is performed, will the uvm_reg_predictor compare the read register value with the current mirror value in the register model and print an error, if there is a mismatch ?

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...