Jump to content

uvm_reg/uvm_mem create functions


jeiler

Recommended Posts

Is there a reason that none of the classes for uvm_reg/uvm_mem stuff implement a create function?

A bunch of them extend uvm_object, so I think they should define a basic create function that returns a new object as opposed to falling through to the uvm_object::create function that just returns null.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...