Jump to content

forntdoor read failing after backdoor write to a register model while other seq works


Recommended Posts

i wrote a UVM sequences for register model with frontdoor/backdoor writes and reads.Everything working well but when any register in the address-map is written via backdoor and then all registers if read from frontdoor attain the same value which was written to that particular register

example-

initially all registers are reset to their default values and read correctly via frontdoor. then register "my_reg" is written a value of "4" via backdoor

reg_model.my_reg.write(status, 4 ,.path(UVM_BACKDOOR),.parent(this)) ;

now if any other register is read via frontdoor using statement -

reg_model.my_reg2.read(status, rd_data ,.path(UVM_FRONTDOOR),.parent(this)) ;

the value returned is "4" which was the value written to "my_reg"

but if the registers are read via backdoor then all value are correctly read.

In other words-

all other scenarios like

frontdoor read after frontdoor write, backdoor read after backdoor write and backdoor read after frontdoor write 'works' but only frontdoor read after backdoor write 'fails'.

Please help and inform where can I be possibly wrong?

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...