Jump to content

scgenmod utility


chessat2002

Recommended Posts

Hi,

I'm currently doing mixed-mode simulations using SystemC testbenches and VHDL/Verilog designs.

For generating SystemC foreign modules, I use the scgenmod utility provided by QuestaSim (V 10.0).

For Verilog designs, I did not face any problems until now.

However, for VHDL designs, scgenmod fails if the top entity contains signed/unsigned data types or generic bitwidths for any data types.

Are there any known work-arounds expect than adapting the entity interface?

Best regards,

Alex'

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...