Jump to content

Martin Barnasconi

Members
  • Posts

    89
  • Joined

  • Last visited

  • Days Won

    18

Posts posted by Martin Barnasconi

  1. RNM is a simple approach to represent analog signals by a real-value (amplitude) on a  (discrete) event driven time axis. Depending on the type of signal, you need to generate a lot of samples (events) to follow the shape of the waveform (i.e. Nyquist rule). The event scheduling in a digital solver results in some simulation overhead, because the event list is dynamically scheduled and executed. The more events, the slower the simulation. Especially when you start modeling RF systems in RNM, your system simulation will get slow. In addition, the more input and outputs, the more events at these inputs and outputs, which need to be added to the sensitivity-list of the discrete-event solver. So the bigger the RNM system, the slow its gets.

    Thanks to the Dataflow based simulation concept in SystemC-AMS, we do not have these issues. In SystemC-AMS the dataflow graph is based on the interconnected TDF modules, and computed before simulation starts. For each time step, this graph is executed only once, including signal input/output updates for all associated TDF modules. As such, the size of the TDF system does not matter much. Especially for bigger systems you will clearly see a difference between RNM in Verilog-AMS or SystemVerilog versus TDF modeling in Systemc-AMS, the latter being much faster. 

     

  2. UVM-SystemC simulation will automatically finish if all UVM phases have been executed (without any pending objections). You can look in the examples/simple/objections/basic example how to get the objection count. I expect somewhere you raise an objection, but you do not drop it.

    The SystemC sc_stop will trigger end_of_simulation. So this is expected behaviour. However, in UVM-SystemC you should not call sc_stop yourself (in a similar way, as a user you do not start the simulation with sc_start)

     

  3. Some remarks/questions:

    • Please try the latest 2.1 version, which can be found here: http://www.coseda-tech.com/systemc-ams-proof-of-concept
    • Can you supply some additional information on the compiler you use on windows: is this gcc in mingw/cygwin or using the msvc compiler. Please supply version
    • Did you try starting the execution using gdb?
    • Is your design using TDF modules only or also LSF and/or ELN?
  4. This is not a UVM-SystemC library but Eclipse configuration issue.

     

    Some things you could check:

    • In the Project Explorer view, the project should be labeled as C/C++ project and contain a subdirectory "Includes". In this list you should see the cygwin and uvm-systemc include directories. If this is not the case, then your project properties are not well defined.
    • Do a Index >> Rebuild
    • Just build the example and see if the error disappear (such build also does start a reindexing

    Also note that UVM-SystemC puts all classes in the uvm namespace. This means you should explicitly prefix with uvm:: or define a using namespace uvm (only inside method implementations, not in global scope of  header files)

  5. There are some commercial and proprietary functional coverage approaches in C++/SystemC, but these are not contributed for standardization.

     

    Therefore the WG will work on a new and open standard proposal, along the lines of the initial ideas as presented at NASCUG at DAC2014 (slide 30, 31):

    http://nascug.org/events/20th/1-NASCUG20-UVMforSystemC-Karsten.pdf

     

    Of course this is subject to change. For example, the prefixes will change, as well as some methods and arguments, since we aim for integration in UVM-SystemC.

  6. I expect your SystemC module, as leave cell, uses regular ports (sc_in/sc_out). The SystemC AMS TDF module should use the converter ports (sca_tdf::sca_de::sca_in, sca_tdf::sca_de::sca_out), so it can be connected to regular SystemC modules.

    This means that the top-level module, which instantiates this SystemC module and the SystemC AMS TDF module, should then a sc_signal, since the input for the SystemC AMS TDF module needs to see this type of signals.

  7. The SystemC AMS 2.1 proof-of-concept in indeed licensed under Apache License, Version 2.0, January 2004.

    Distribution need to comply to the rules as defined in this Apache 2.0 license.

     

    Your package website indeed mentions under license "custom:SystemC-AMS Open Source License". Instead it should state "Apache License Version 2.0, January 2004".

     

    My advice is to also contact the developer/maintainer of the PoC, which is COSEDA Technologies GmbH, to inform them on this initiative and to confirm your packaging initiative is recognized/supported:

    http://www.coseda-tech.com/

    info@coseda-tech.com

  8. Perhaps you can run some profiling tools (e.g. gprof) to examine where most of the simulation computation time is spend. My expectation is, with such a simple example, that you are primarily looking at the SystemC simulation kernel performance, not so much the AMS extensions. But profiling should reveal some insight in this.

  9. Procedure is something like this:

    * follow the INSTALL in the SystemC directory to install the SystemC libraries (e.g. configure, make, make install, make test)

    * follow the INSTALL in the SystemC-AMS directory to install the SystemC-AMS libraries (e.g. configure, make, make install, make test)

    * make a simple Makefile pointing to the include and library directories, specify the compiler used, and specify the objects to build

    * execute the make file

     

    If you are not familiar with a makefile and gcc based flow, I suggest to do some reading, studying and experimenting first and start with normal C++ programs and libraries, before juming on SystemC and SystemC-AMS.

×
×
  • Create New...