Jump to content

Using +uvm_set_verbosity


Recommended Posts

I'm looking at using the +uvm_set_verbosity switch to enable UVM_HIGH messages in certain components. In my environment, I can use this to turn on the messages I want to see

uvm_top.set_report_id_verbosity ("ai_ral_adapter", UVM_HIGH);

But this requires a recompile, of course. What would be the equivalent +uvm_set_verbosity incantation? The reference document says this

+uvm_set_verbosity=<comp>,<id>,<verbosity>,<phase> and

+uvm_set_verbosity=<comp>,<id>,<verbosity>,time,<time>

and this example

<sim command> +uvm_set_verbosity=uvm_test_top.env0.agent1.*,_ALL_,UVM_FULL,time,800

I've tried these and some others without success.

+uvm_set_verbosity=uvm_test.\*,ALL,UVM_HIGH,time

+uvm_set_verbosity=uvm_test_top.\*,ALL,UVM_HIGH,time

or can I not control verbosity on messages in an object that's not a uvm_component?

George

Link to comment
Share on other sites

Okay, related then, I'm trying to get a message from an object that is a uvm_component and can't get there either. I have this message which is defined as a UVM_MEDIUM, so it comes out normally since the default is UVM_MEDIUM

UVM_INFO @ 0.000 ns: uvm_test_top.test_env.AI_agent [ai_agent_master_c] Building agent with bus size: 32

Then I duplicated that line and changed the value from 32 just to recognize it and change its verbosity to UVM_HIGH and moved it to end_of_evaluation_phase. I thought this would make it come out

+uvm_set_verbosity=uvm_test_top.test_env.AI_agent,ai_agent_master_c,UVM_HIGH,end_of_elaboration_phase

I can make it come out by adding this to a previous phase in my test_base which builds test_env which builds AI_agent

test_env.AI_agent.set_report_verbosity_level(UVM_HIGH);

How can I get that message to come out using a plusarg? Besides +UVM_VERBOSITY which works but is a little overkill

George

Link to comment
Share on other sites

Interesting, I thought I'd try something wild that turned out to work for my second example to turn on messages in the component. I left off _phase making it this which works

+uvm_set_verbosity=uvm_test_top.test_env.AI_agent,ai_agent_master_c,UVM_HIGH,end_of_elaboration

This still leaves me with the problem of turning on the message from my ral_adapter which is not a component ...

George

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...