Jump to content

Weak Vtables in SystemC Library


sumit_tuwien

Recommended Posts

We have found weak vtables in many files of SystemC Library and it will be emitted in every translation unit. I would not not be worrying about if the developer knows about it. Is this behavior known to developers ?

 

Regards, Sumit 

Hello Sir,

Vtables are a feature of the underlying C++ language, as SystemC is a C++

library, that feature is carried over. But, the developer really does not have to

know about how vtables are implemented, to make C++ virtual functions work.

Link to comment
Share on other sites

In general, issues related to weak vtables are not that widely known, I think.  Nevertheless, since this is only related to the compilation/(dynamic) linking performance of the library, I don't think that there is an immediate need for any changes to the proof-of-concept implementation.  Commercial vendors may of course perform their own optimizations in this area.

 

Greetings from Oldenburg,

  Philipp

Link to comment
Share on other sites

However I would like to mention that whenever you need to change the PoC for this particular issue it will not take much time because there are only few places which needs to be changed.

 

Feel free to share the list of affected classes here.  ;) 

(I assume, it's mostly the pre-defined interfaces and channels being on that list).

 

/Philipp

Link to comment
Share on other sites

Yepp,

 

 src/sysc/kernel/sc_process.h

src/sysc/datatypes/int/sc_unsigned.h
src/sysc/datatypes/int/sc_signed.h
src/sysc/datatypes/int/sc_uint_base.h
src/sysc/datatypes/int/sc_int_base.h
src/sysc/datatypes/bit/sc_bv_base.h
src/sysc/datatypes/bit/sc_lv_base.h
src/sysc/datatypes/fx/sc_fxval_observer.h
src/sysc/datatypes/fx/sc_fxnum_observer.h
src/sysc/communication/sc_signal_ifs.h
src/sysc/datatypes/misc/sc_concatref.h
src/sysc/kernel/sc_cor.h
src/sysc/kernel/sc_spawn_options.h
src/sysc/kernel/sc_simcontext.cpp
src/sysc/utils/sc_vector.h
src/sysc/communication/sc_semaphore_if.h
src/sysc/communication/sc_event_queue.h
src/sysc/communication/sc_mutex_if.h
src/sysc/communication/sc_host_mutex.h
src/sysc/communication/sc_signal_resolved_ports.h
Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...