Jump to content

UVM-SC Install Error


Recommended Posts

I want to install UVM-SC but it compile error.

Can I use GCC 5.3 to compile UVM-SC lib?

OS: Ubuntu20.04

GCC: 5.3

configure log:

------------------------------------------------------------------------------
Configuration summary of UVM-SystemC 1.0-alpha1 for x86_64-unknown-linux-gnu
------------------------------------------------------------------------------

 Directory setup (based on SystemC layout):
   Installation prefix: /home/ollie/Downloads/uvm-systemc-1.0-alpha1
   Header files:        /home/ollie/Downloads/uvm-systemc-1.0-alpha1/include
   Libraries:           /home/ollie/Downloads/uvm-systemc-1.0-alpha1/lib-linux64
   Documentation:       /home/ollie/Downloads/uvm-systemc-1.0-alpha1/docs
   Examples:            /home/ollie/Downloads/uvm-systemc-1.0-alpha1/examples

 Target architecture:   linux64

 Build settings:
   Compiler (flags):    g++
                        -std=c++11
   Preprocessor flags:  -std=c++11
   SystemC CFLAGS:      -I/usr/local/systemc/include
   SystemC LIBS:        -L/usr/local/systemc/lib-linux64 -lsystemc
   Additional LIBS:     
   Enable compiler optimizations:   yes
   Include debug symbols:           no
   Generate instrumentation calls:  no
   Regular expression library:      POSIX
------------------------------------------------------------------------------

 

Error log:

compilation terminated.
make[4]: *** [Makefile:387: uvm_component.lo] Error 1
make[4]: Leaving directory '/home/ollie/Downloads/uvm-systemc-1.0-alpha1/objdir/src/uvmsc/base'
make[3]: *** [Makefile:501: all-recursive] Error 1
make[3]: Leaving directory '/home/ollie/Downloads/uvm-systemc-1.0-alpha1/objdir/src/uvmsc'
make[2]: *** [Makefile:342: all-recursive] Error 1
make[2]: Leaving directory '/home/ollie/Downloads/uvm-systemc-1.0-alpha1/objdir/src'
make[1]: *** [Makefile:278: all] Error 2
make[1]: Leaving directory '/home/ollie/Downloads/uvm-systemc-1.0-alpha1/objdir/src'
make: *** [Makefile:341: all-recursive] Error 1

 

 

Link to comment
Share on other sites

  • 2 weeks later...

I built 2.3.3 on Ubuntu 20.04 recently and also ran into a problem, which I debugged and worked around by defining SC_DISABLE_API_VERSION_CHECK.

Maybe this will help .. the SYSTEMC related build flags from my Makefile for gcc

gcc (Ubuntu 9.3.0-17ubuntu1~20.04) 9.3.0

 

SYSTEMC_CFLAGS = -DSC_DISABLE_API_VERSION_CHECK -I/usr/local/systemc-2.3.3/include
SYSTEMC_EXISTS = true
SYSTEMC_INCLUDE_DIR = /usr/local/systemc-2.3.3/include
SYSTEMC_LIBS = -L/usr/local/systemc-2.3.3/lib-linux64 -Wl,-R/usr/local/systemc-2.3.3/lib-linux64 -lsystemc -lpthread
SYSTEMC_LIB_DIR = /usr/local/systemc-2.3.3/lib-linux64

 

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...